Search found 395 matches

by Bill B
08 Nov 2019 18:40
Forum: SOFTWARE
Topic: New tape cleaner tool
Replies: 59
Views: 95603

Re: New tape cleaner tool

Paul, Glad you got it compiled at least. I don't know why it should be giving the error message, but then I have only ever compiled and run the program on Linux. Sorry about the lack of documentation. As mentioned it was originally written for my own use. It might be better to start by playing with ...
by Bill B
25 Oct 2019 19:29
Forum: SOFTWARE
Topic: New tape cleaner tool
Replies: 59
Views: 95603

Re: New tape cleaner tool

Paul,

Something I wrote, and omitted from the ZIP file. Apologies for that :oops:

I have included it in the attached ZIP which also has some further enhancements to decoding the WAV file, derived from plagiarising your mtx2bas code.
by Bill B
15 Oct 2019 14:20
Forum: SOFTWARE
Topic: New tape cleaner tool
Replies: 59
Views: 95603

Re: New tape cleaner tool

Claus,

It should compile with MinGW or MinGW64. You will also need the wxWidgets library.

With regards to comments, the code was originally written just for my own use to solve Paul's challenge.
by Bill B
15 Oct 2019 11:27
Forum: SOFTWARE
Topic: Bills TapeView tape decoder
Replies: 1
Views: 5152

Re: Bills TapeView tape decoder

See also this post.
by Bill B
13 Oct 2019 15:51
Forum: SOFTWARE
Topic: New tape cleaner tool
Replies: 59
Views: 95603

Re: New tape cleaner tool

Attempting to scan a tape audio file for Claus at MemoFest yesterday uncovered a couple of limitations in my TapeView program: The BASIC listing was missing a space before some keywords when they appear in the middle of a statement. This has now been fixed. The listing was failing to recognise NODDY...
by Bill B
13 Oct 2019 09:29
Forum: MEMOFEST 2019
Topic: Memofest 2019 at Andys
Replies: 13
Views: 20179

Re: Memofest 2019 at Andys

Another very enjoyable Memofest. Thanks to Andy and family for hosting :D Claus showed a couple of new game conversions, one of which was used for the high score competition, Having had practice Claus thrashed the rest of us :lol: Andy demonstrated one of his latest developments, a ROM add-on card w...
by Bill B
07 Oct 2019 09:38
Forum: OFF TOPIC
Topic: Another Home-Brew - Z180
Replies: 102
Views: 109551

Re: Another Home-Brew - Z180

Following on from Martin's comment about re-factoring the VHDL, I have attempted to draw out the SD Card interface as a circuit diagram.
by Bill B
06 Oct 2019 16:13
Forum: OFF TOPIC
Topic: Another Home-Brew - Z180
Replies: 102
Views: 109551

Re: Another Home-Brew - Z180

Martin, I think all the latches are fundamental to the design, although if anyone can come up with something better I would be interested. Dave, I have EPM7032 and EPM7128 chips from Lez. Also a USBBlaster clone (although I haven't tried that yet). Anything else I would have to buy. I could, but bet...
by Bill B
06 Oct 2019 12:53
Forum: OFF TOPIC
Topic: Another Home-Brew - Z180
Replies: 102
Views: 109551

Re: Another Home-Brew - Z180

It appears that my proposed SD Card interface is pushing the limits of the small CPLD I am planning to use. My initial VHDL was: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Z180_EC_Util_CPLD is port ( data : inout std_logic_vector(7 downto 0); addr : in std_log...
by Bill B
29 Sep 2019 21:37
Forum: OFF TOPIC
Topic: Another Home-Brew - Z180
Replies: 102
Views: 109551

Re: Another Home-Brew - Z180

I need to get some more materials before I can have another go at etching a board. However, assuming that I eventually succeed with that, attached is a preliminary design for the second board to contain: SD Card interface Real Time Clock Floating Point Unit This board layout is looking a bit empty. ...